Jump to content
Corsair Community

AX850 24 Pin Problem


horsti

Recommended Posts

Hallo,

 

ich habe erst kürzlich ein Corsair AX850 erworben und habe dazu eine Frage.

 

Gestern habe ich mein 24Pin Kabel gesleeved, dabei bin ich mit äußerster Vorsicht vorgegangen, d.h. ein Kabel ausgepinnt gesleeved und wieder eingesteckt und zusätzlich notiert wo welches Kabel gesteckt hat. Bin mir zu 99,9% sicher das an meinem Kabel nichts falsch gepinnt ist.

 

Nachträglich habe ich, mit der aus dem Hardwareluxx Corsair Supportforum verfügbaren AX850 24 PIN ATX Anschlussbelegung, alles nochmal kontrolliert. Dabei ist mir aufgefallen das ein paar Pins nicht mit der Zeichnung übereinstimmten.

 

Ich habe mir mal die Mühe gemacht und die komplette Pinbelegung meines Kabels notiert. Die fett markierten Pins, sind die Pins, die nicht mit der Zeichnung übereinstimmen.

 

--------------Mein Kabel--Zeichnung--

 

18P-1 - +5V - 24P-23 -> 24P-4

18P-2 - +5V - 24P-21 -> 24P-6

18P-3 - +5V - 24P-22 -> 24P-21

18P-4 - GND - 24P-17 -> 24P-3

18P-5 - GND - 24P-3 -> 24P-5

18P-6 - GNDs - 24P-3

18P-7 - N/A -

18P-8 - +3V3 - 24P-1

18P-9 - +3V3 - 24P-12 -> 24P-2

18P-10 - +5V - 24P-4 -> 24P-22

18P-11 - +5V - 24P-6 -> 24P-23

18P-12 - +5Vs - 24P-6

18P-13 - GND - 24P-7

18P-14 - GND - 24P-15

18P-15 - GND - 24P-5 -> 24P-17

18P-16 - +3V3s - 24P-2

18P-17 - +3V2 - 24P-2 -> 24P-12

18P-18 - +3V3 - 24P-13

 

 

10P-1 - GND - 24P-18

10P-2 - GND - 24P-19

10P-3 - GND - 24P-24

10P-4 - +12Vs - 24P-10

10P-5 - PS_ON - 24P-16

10P-6 - +12V - 24P-11 -> 24P-10

10P-7 - +12V - 24P-10 -> 24P-11

10P-8 - -12V - 24P-14

10P-9 - +5Vsb.- 24P-9

10P-10 - P.G. - 24P-8

 

Ein Vergleich mit dem ATX Standard zeigt, dass jedes Kabel das richtige Signal hat aber wie gesagt nicht mit der Zeichnung übereinstimmt. Nach meinem empfinden müsste alles ok sein. Trotzdem hätte ich gerne nochmal eine Bestätigung von eurer Seite.

 

Viele Grüsse

Link to comment
Share on other sites

  • 3 months later...

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...